Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

gate-level netlist simulation problem

Status
Not open for further replies.

trai

Newbie level 6
Joined
Jan 1, 2009
Messages
12
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,404
gate level simulation post

HI

I want to do gate-level simulation for the synthesised netlist without annotating

the sdf file. I just want to verify the function of the netlist not timing.

I use ncverilog to simulate the netlist with the following command:

ncverilog mydesign.v -v tsmc18.v -v RA1SHD_1024x32.v +notimingcheck +delay_mode_zero

tsmc18.v is the library cell simulation model
RA1SHD_1024x32 is the memory simulation model

However the simulation result is completely wrong. I dont know what the problem is .

Then I use vcs instead. The command are followed:

vcs mydesign.v -v tsmc18.v -v RA1SHD_1024x32.v +notimingcheck +delay_mode_zero

The result is different from the ncverilog case. The behavior of the netlist seems correct but the behavior of the memory failed. For example, the memory access unit in the netlist successfully generates memory control signals to the RA1SHD_1024x32 during simulation. However the memory simulation model doesnt give correct respons to the signals.

Could anyone tell me what was going wrong? Thank you for your reply~
 

netlist simulation

maybe your memory lib have some timing check!
 

gate level simulation issues

There are timing checks in the memory simulation model.

I have turn off the timing check using "+notimingcheck" option.

The timing checks should have no influnce on the momory and cell behavior.

But.... I still not got the expected result.

Added after 56 seconds:


There are timing checks in the memory simulation model.

I have turn off the timing check using "+notimingcheck" option.

The timing checks should have no influnce on the momory and cell behavior.

But.... I still not got the expected result.
 

gate level simulation issues

TSMC libraries cannot be simulated with zero delay mode.

Shalom
 

specify netlist in vcs

Thanks for your reply.

Is there any way to perform functional verfication for the netlist?
 

ncverilog nospecify

can you try using +nospecify alongwith +notimingcheck with the ncverilog or vcs command that you have used. If the lib is having specify blocks, they can de-activated with this switch.
 

nospecify ncverilog

vlsidft21 said:
can you try using +nospecify alongwith +notimingcheck with the ncverilog or vcs command that you have used. If the lib is having specify blocks, they can de-activated with this switch.

That won't help. TSMC libraries won't work without delays. If anything, unit delay has more chance to work than zero delay.

Shalom
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top