Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Frequency multiplier using VHDL

Status
Not open for further replies.

iVenky

Advanced Member level 2
Joined
Jul 11, 2011
Messages
584
Helped
37
Reputation
76
Reaction score
35
Trophy points
1,318
Location
College Station, Texas
Activity points
6,124
I know how to do frequency divider. But how to do frequency multiplier in VHDL?

The given frequency is the frequency of the clock.


Thanks in advance.
 


As indicateur by emresel, you could générale rtl code bunt your must control the synthesis very carefully to preserve the delay cell to generate the phase clock before the XOR element.
One collegue did it with silicon success.
 

library ieee;
use ieee.std_logic_1164.all;

entity F2 is
port (fi : in std_logic; -- Input signal fi
f0 : out std_logic); -- fo = 2*fi
end F2;


architecture behav of F2 is
signal q,clk:std_logic;
begin

process(fi)
begin
if rising_edge(clk) then
q<= not q;
end if;
clk <= not (q xor fi);
f0 <= clk;
end process;

end behav;
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top