Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

frequency generator in VHDL

Status
Not open for further replies.

alexz

Full Member level 5
Joined
Nov 19, 2004
Messages
283
Helped
6
Reputation
12
Reaction score
3
Trophy points
1,298
Location
UK
Activity points
2,246
Are there any VHDL code and methods examples of a frequency generator design?
 

If you are looking for freqeuncy generator for modelling then there are lots of possibilites and examples available on opencores. If you are looking for frequency derivatives for Physical implementations then I think you can generate frequency in odd and even fractions of the base frequency.

Anyone has any better ideas??
 

frequency can be easily divided in a multiple of 2T timing by using counter in behaviour structure. for any other frequency value, other frequency value, you need to use PLL method which shall be an analog method which are normally support by the chip company through their own build-in macro. as for multiplying frequency, it would be impractical, and i will recommend you to just use a higher freq crystal.
 

Status
Not open for further replies.

Similar threads

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top