Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

FPGA(Xilinx) IP Cores needed!

Status
Not open for further replies.

ukyo

Newbie level 2
Joined
Feb 24, 2002
Messages
2
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
53
FPGA(Xilinx)IP Core needed, with RTL source code!

Please suggest some company which can afford qaulified RTL code FPGA IP including:

C30
Fixed point to floating point converter(32 bit to 48 bit)
Matrix multiplexer(handling matrix computation)
DUC and DDC(Digital Up Converter/...) 70M
polar coordinates to/fro x-y coordinates converter!

Thanks in advance!
maveric_young@21cn.com
 

I also need the IP about PCI master

Can anyone tell me where I get that?
 

I'm also very interested in an working 32 bit, M/T PCI core for the $par|an II series, but it seems to be very hard to get one for evaluation purposes. Maybe some day someone pities our requests... :smile:
 

I want microbl@ze soft processor if someone have it

please help me thanks
 

Use opencore/PCI project.
It use Xilinx to synthesis the design.
It should work according to the Docs.
 

Who can share Xil@nx viterbi core
 

Is there also one available for interfacing to SDRAMs?
Thanks for the info
Maddin
 

You can visit the @ltera website,it contains a example to design the interface to SDRAM.
 

But that project is PCI Core Bridge and not PCI Core...
Where can I find PCI Core???
 

Circuit_Designer said:
You can visit the @ltera website,it contains a example to design the interface to SDRAM.

Circuit_Designer, don't use @lter@ name in this forum. Please read the rules in 'Announcement'-section.
 

me too ,could you give me a copy
 

can anyone share Xilinx PCI 3.0 core?
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top