Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

FPGA Smaller Packages

Status
Not open for further replies.

OvErFlO

Full Member level 3
Joined
Dec 7, 2001
Messages
178
Helped
7
Reputation
14
Reaction score
3
Trophy points
1,298
Activity points
1,342
I need to insert an FPGA into a little box 20mm x 20mm and I need to know the most smaller FPGA package , can anyone help me ?


thanks
Overflo
 

Although I expect that you can read data sheets: Up to 324-pin FBGA fits, also up to 484-pin UBGA, avaliable e. g. with Altera Cyclone III. If you want to have some other parts on the same surface, a 164-pin MBGA with 8x8 mm would be pretty small.

P.S.: Please don't tell, that you can't process BGA packages.
 

If you adopt FPGA with BGA package, you had better reserve test points or test components as possible.
 

FvM said:
Although I expect that you can read data sheets: Up to 324-pin FBGA fits, also up to 484-pin UBGA, avaliable e. g. with @ltera Cyclone III. If you want to have some other parts on the same surface, a 164-pin MBGA with 8x8 mm would be pretty small.

P.S.: Please don't tell, that you can't process BGA packages.

no problem ;-) thanks
 


Status
Not open for further replies.

Similar threads

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top