Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

FPGA implementation using rs232

Status
Not open for further replies.

vicky_svnit

Newbie level 1
Joined
Apr 29, 2007
Messages
1
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,291
we hav synthesized our program on vhdl design of UART but unable to check the output by using RS232 via hyperterminal
 

you need a level translator.

Have you used one ?
 

Dear,
there is a lot of simple programs which u can use to check the UART, u can use it to send data and see the received date.u can search for one of thyem.
mikroc a pic microcontroller compiler has a powerful UART terminal which u can use.
Regards
 

vicky_svnit said:
we hav synthesized our program on vhdl design of UART but unable to check the output by using RS232 via hyperterminal

Hyperterminal worked mostly fine for me. Try to use oscilloscope first of all to test your code on single bytes serialization.
 

B4 checking on hyperterminal of a host PC, first try to check your functionality of UART within the FPGA And then check the voltage levels at the output of the FPGA by sending rs232 data. It must be 3.3V compatible to detect it on PC. IF not then convert your voltage to 3.3V level.
 

3.3V compatible to detect it on PC ??

What are you talking about ?

To be PC compatible the swing must atleast be +/-12v!
 

Yes min. voltage should be 3V.

I just want to tell that confirm that your voltage levels should be gr8er than 3V.

Please refer the file attached for your reference.

Sachin :D
 

Hi,
If you are done with all the stuff told by other friends and still not able to get the response, then check which cable are you using.... "cross" or "straight"??? Find out which one is suitable for your code..

:D
 

Status
Not open for further replies.

Similar threads

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top