Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Floating Point and Fixed Point Libraries.

Status
Not open for further replies.

cwjcwjcwj

Full Member level 5
Joined
Nov 8, 2004
Messages
273
Helped
17
Reputation
34
Reaction score
12
Trophy points
1,298
Activity points
1,714
I am beginner in VHDL code. I am currently using Quartus II and wonder if anyone can recommend a good and easy-to-use floating point library? I know there are a few discussions in edaboard regarding this. But, I just want to know what is the latest free library? Is it from IEEE by David Bishop?

Also, how to compile a new package/library in Quartus II?

Thx very much....
 

Hi,

You should use the IEEE (D. Bishop) packages. You can find them at:

http://www.eda.org/vhdl-200x/vhdl-200x-ft/packages/index.html

I do not believe that Quartus supports these floating point packages already. You can try to compile them like your normal design files, but be sure to compile them to the correct library name.

Devas.
 

Thanks. I am currently using the floating point megafunction of quartus II. It works pretty well so far. So, which one is better? is it the original ieee one or quartus?
 

Hi,

If your target FPGA is an Altera device I should use the Megawizard functions. This is easier than writing your own VHDL and they are configured for best performance in the Altera devices.

If you want to write generic VHDL you can use the IEEE packages.

Devas
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top