Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

fixed point representation

Status
Not open for further replies.

lokesh@88

Newbie level 4
Joined
Feb 23, 2015
Messages
6
Helped
0
Reputation
0
Reaction score
0
Trophy points
1
Activity points
37
i have two input first one is in std_logic_vector and other is in fixed point, i want to multiply it ,can you help me how is it possible?
 

I suggest using the fixed_pkg.
Newer versions of modelsim (10.0+) have VHDL 2008 support, and the fixed_pkg is in the ieee libary. Just add to your code:

include ieee.fixed_pkg.all;

And you will have access to the ufixed and sfixed types.

- - - Updated - - -

Then for synthesis, you'll need the '93 versions of the libraries, available from www.vhdl.org/fphdl
 

i have already used this lib my qu. is how to multiply std_logid_vector to fixed point can you explain thrgh any example.
 

i have already used this lib my qu. is how to multiply std_logid_vector to fixed point can you explain thrgh any example.

Perhaps you should read the documentation for the fixed point package first.
 

Perhaps you should read the documentation for the fixed point package first.



i used library IEEE_proposed;
use IEEE_proposed.fixed_pkg.ALL; but during synthesis for spartan 3e it shows error.Line 23. Library IEEE_proposed cannot be found.
Line 24. Library IEEE_proposed is not declared.
can u help me.
 

You need to add the IEEE_proposed package to your project. Like the name of the library, it is not standard VHDL code, it is the stuff that was proposed for the vhdl 2008 revision.

Neither quartus nor vivado are yet to have full VHDL 2008 support. Hence you need to add the compatability packages yourself.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top