Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

finding peak value in verilog

Status
Not open for further replies.

param

Member level 2
Joined
Sep 9, 2005
Messages
49
Helped
4
Reputation
8
Reaction score
0
Trophy points
1,286
Activity points
1,649
hi,
i want to find the peak value of the given input 2048-point complex data,
please give me the algorithm or verilog code for this...
 

calculate magnitude of complex value and use comparator to find peak value..
 

    param

    Points: 2
    Helpful Answer Positive Rating
Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top