Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

File comparison in Modelsim simulation using VHDL

Status
Not open for further replies.

imbichie

Full Member level 6
Joined
Jul 30, 2010
Messages
381
Helped
55
Reputation
110
Reaction score
54
Trophy points
1,308
Location
Cochin/ Kerala/ India or Bangalore/ Karnataka/ Ind
Activity points
3,580
HI Friends,

I am using VHDL for coding. Now i need to compare two files, means the input file and the output file after the simulation. So is there any method to compare two files in the Modelsim simulation.

Means i need to automate the comparison in the Main Testbench or in the *.do file (Modelsim's do file)
 

you can easily read text files in VHDL using the textio package. Given you say you have an input file and output file, Im guessing you already know how to use them. Simply write a function that compares two files.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top