Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

false path in constraints

Status
Not open for further replies.

dak-ju

Junior Member level 2
Joined
Jul 9, 2005
Messages
22
Helped
2
Reputation
4
Reaction score
2
Trophy points
1,283
Location
India
Activity points
1,534
Hi everyone,

I want to know what are false path and why are they being set in constraining a design?

Thanks in advance,
dak-ju
 

It's a logical path in you circuit and that path will not be exercised in your logic function. Or the path between two clock domains is also considered false path.
 

False paths are paths which you want to exclude from STA analysis. Following are examples of false paths:

1. Paths between Async-Clock Domains: This is taken care by demetastabalization circuits and are ignored in STA.

2. Paths that exists in circuit but no combination of input vectors can excersise it.


Hope this helps.
 
  • Like
Reactions: RaviT

    RaviT

    Points: 2
    Helpful Answer Positive Rating
when our using DC,it usualy make timing cons and check by STA. In STA,the default logic path timing check is single cycle, in complex design we usual have multicycle path, asyn logic , in this design DC will not detect it by itself, so will need us to set it with DC command to make these logic path as Timing Exception
 

A Path from source signal to destination signal such that changes on the source signal will not propogate along the path to cause a change on the destination signal.

You can specify false paths (paths to ignore) in two different ways—by nets and elements or by timing paths. Identifying false paths allows PAR to concentrate on more critical paths when placing components and when using routing resources. There might be less runtime because PAR does not have to meet a specific timing requirement.
 

hi,
false paths are not related with the clocks in the design.

with regards,
srik.
 

A question related with false path. How smart is the current generation of STA tools in figuring out false pathes, such as the longest path in carry-bypass adder which is a false path? I will imagine there are a lot of such pathes in fast adders/multipliers.
 

False Paths are the paths, from a source signal to a destination
signal such that changes on the source signal will not
propagate along the path to cause a change on the destination
signal.

one reason why we are specifying False paths to the constrain guide may be that it need not see that particular path so that it can synthesize the work fast.

Reagrds
Raghu
 

false paths are the path that the circiut can't run paths with the right status.
you must disable the check on them so that reduce the NOT true report
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top