Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Failure of register generation after DC synthesis

Status
Not open for further replies.

they

Junior Member level 2
Joined
Sep 14, 2004
Messages
24
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
265
Hi,
I coded some registers in my RTL. But after I synthesized it with DC, I can't find one of the registers in the gate level netlist generated.
May I know the possible reason this kind of problem happen?
 

diablo1222 said:
Would you post your rtl code?

Hi,
I am sorry, the entire RTL is too big and I think it is not very convenient for me to post it. Anyway, thanks for your concern.
 

If your registers are some how directly (or indirectly) not connected to any output ports , DC will remove them.
 

The reason maybe as below:
1) The input of register is float
2) The output of register isn't connected to output( directly or indirectly)
You can check the log file of synthesis to find the information about this issue.
 

Hi guys,
thank you very much!!!
 

right, maybe the register is not used, or they are constant value.
so don't warry, you can run formal check to see if the functional is wrong
 

Another question:
I run a synthesis with 2 compile.
The first compile is run withou any option, and the "check_design" command reports some warnings.
After that, the compiled design is "ungroup -flatten -all" and then compile again with options "-incremental_mapping -map_effort high". The second compile is then follow by a "check_design". There is no any warnings found in the second "check_design" report. So is that means the second compile has fix the problems (warnings) in found in the first compile?
 

may be your coding error
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top