Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Error while trying to open a new project in Modelsim

Status
Not open for further replies.

revooridinesh

Member level 1
Joined
May 31, 2010
Messages
41
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,286
Location
Canada
Activity points
1,553
Hi

recently i installed Modelsim PE Student Edition, when I tried to open a new project an error popped up. I am completely new to this, this is the first time I am using the simulator so if it is a simple one please forgive me

83_1329406842.jpg
 

you need to be able to create directories, so you probably need to have admin rights.

Personally I wouldnt use modelsim projects - learn how to use the console commands. They are just TCL commands (and there is a pdf file documenting all the commands in the help)

the basic ones to get you going:

#create library "work"
vlib work

#compile a vhdl file into "work" library
vcom some_file.vhd

#simulate a module
vsim my_module

#run a simulation. -all switch runs until the testbench stops itself
run 100 ms

#restart a simulation
restart

any vcom or vlog commands will recompile the source and the changes will be picked up when you restart a simulation - no need to keep running vsim loads.
 

Hi revooridinesh,

As TrickyDicky said you can do the same things written in a "filename.do" file and just type the "do filename.do" command in the transcript window of modelsim to do all at a single step....
See the below do file format

if {!0} {
vlib work
}

vmap work work


vcom \
filename_1.vhd\
filename_2.vhd\
filename_3.vhd\
filename_4.vhd\
filename_testbench.vhd

vsim -t 1ps -novopt testbench_entity_name

if {[catch [set StdArithNoWarnings 1] temp ]} {}
if {[catch [set NumericStdNoWarnings 1] temp ]} {}
if {[catch [set IgnoreWarning 1] temp ]} {}

add wave -r -radix hex sim:/testbench_entity_name/*

run -all

## you can also use the "run 10 us", or "run 100 ns" commands instead of "run -all"
## just write these things to your " filename.do " file and put it in the simulation folder and change the modelsim directory as the simulation folder then, type these commands

"do filename.do"

then the modelsim will compile those specified files and simulate the testbench then will added the waves and finally it run the simulation...
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top