Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

ERROR while loading design in modelsim 5.6

Status
Not open for further replies.

sajeev_antony

Junior Member level 2
Joined
Sep 12, 2002
Messages
21
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Location
India
Activity points
93
+check the transcript file +modelsim

Hi,
I get the following erre while loading a design into the simulator.
can anyone help me.
iam using modelsim 5.6

vsim work.tb_updncnt
# vsim work.tb_updncnt
# Loading D:/Modeltech_5.6e/win32/../std.standard
# Loading D:/Modeltech_5.6e/win32/../ieee.std_logic_1164(body)
# Loading D:/Modeltech_5.6e/win32/../ieee.std_logic_arith(body)
# Loading D:/Modeltech_5.6e/win32/../ieee.std_logic_unsigned(body)
# Loading work.tb_updncnt(tb_behav)
# Loading work.updncnt(behav)
# ** Fatal: (SIGSEGV) Bad pointer access.
# Time: 0 ns Iteration: 0 Region: /tb_updncnt/uut File: F:/Sajeev/UpDnCnt/updncnt.vhd
# FATAL ERROR while loading design
# Error loading design
 

modelsim 5.6 fatal license error

The most possible problem is that you probably didn't pass the compiling process, I met the problem many times, you must check in the following way.

1. select compile-> compile summary to check if any error or warning
2. check the transcript file to see if any error while loading

if you had checked them but couldn't found the error or warning . It
may be the license problem.
:D
 

Looks like uncompiled libraries to me ...
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top