Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

error in ncsim vhdl simulation

Status
Not open for further replies.

alokkmr18

Junior Member level 1
Joined
Dec 28, 2012
Messages
17
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,413
when i m giving a command to testbench ncelab tst::follwoing error will occur ...plz help me out ..its urgent

ncelab tst
ncelab(64): 09.20-s009: (c) Copyright 1995-2009 Cadence Design Systems, Inc.
include $CDS_INST_DIR/tools/inca/files/IEEE_pure/cds.lib
|
ncelab: *W,DLCPTH (./cds.lib,2): cds.lib No such file '$CDS_INST_DIR/tools/inca/files/IEEE_pure/cds.lib' (cds.lib command ignored).
ncelab: *E,DLOALB: Design library 'ieee' not defined while reading package ieee.std_logic_1164 (AST

below is my cds.lib ::

define worklib /home/PG01/niku/ncsim/INCA_libs/worklib
include $CDS_INST_DIR/tools/inca/files/IEEE_pure/cds.lib
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top