Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Error generated by Design_analyzer from synopsys (DDX-2)

Status
Not open for further replies.

Aminos

Newbie level 3
Joined
Feb 6, 2006
Messages
4
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,321
i was trying to synthesize my project using design_analyzer and analyzing step works correctly, but the elaborating steps generates this message:
"ERROR: in design 'multiplier', connectionto port 'a' of instance 'half_multiplier_0' is too narrow. (DDX-2)

can anyone help me please to resolve this problem,

thank you in advance,

Aminos
 

Are the ports different sizes? Post the HDL that you're tyring to synthesize.
 

the ports are diffrent sizes but i m using just the bits that i need:
example
suppose that a : std_logic_vectot (5 downto 0)
an b : std_logic_vector (10 downto 0),
i put in my vhdl code:
a => b(5 downto 0),

the functional simulation (Modelsim) before the synthezis works correctly, the pbl is during the synthezis
 

a => b(5 downto 0)

should be:

a <= b( 5 downto 0);
 

yes i know that but this signal is an instanciation of component:
half_multiplier:multiplier
port map(
a => b (5 downto 0),
.
.
.
);
 

I don't know if all synthesizers can handle subelement associations. Can you try another synthesizer?
 

unfortunatly no, cause we re supposed to work just on this ynthesizers, do you have any other idea to avoid this problem?
 

Other than make the bus widths the same, no.
Download a free synthesizer like Xilinx ISE and test it out. Maybe it's just DC that's the problem. Weird.
 

    Aminos

    Points: 2
    Helpful Answer Positive Rating
Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top