Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Error: C:/Modeltech_6.2e/examples/last_matching1.vhd(282): Cannot resolve indexed nam

Status
Not open for further replies.

Omar Hegazy

Newbie level 2
Joined
Jun 13, 2011
Messages
2
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,300
error with my vhdl code

Hello,
i have the following error with my code:

# ** Error: C:/Modeltech_6.2e/examples/last_matching1.vhd(282): Cannot resolve indexed name as type std.standard.integer.

this is line 282:
w <= xaxis18(s);

where,
xaxis18 is an array of integer with length of 26 elements.
s is a pointer for the xaxis18 array and it is defined as integer signal with range 0 to 26.
w is an integer signal

signal w : integer :=0;
signal s : integer range 0 to 26 :=0;
type array_type31 is array (0 to 25) of integer;
signal xaxis18 : array_type31:=(others => 0);

I'm using these packages and library:
library ieee;
use ieee.std_logic_1164.all;
use IEEE.STD_LOGIC_UNSIGNED.all;
use std.textio.all;
use work.txt_util.all;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.numeric_std.ALL;

help please
 
Last edited:

first of all, dont use std_logic_arith and numeric_std in the same file. Only use numeric_std (they have clashing types, and std_logic_arith is non-standard)

Secondly, I cant see whats wrong without the actual code. Please post the code in context.
 

Thank you for your concern.
I knew the problem and fixed it.
I wanted to add something that you information about combining arith & numeric in the same file is correct information and i've seen it in many resources, but my project works with this situation fine which made me astonished.
Thank you again :)
 

That will be because you're not using the signed and unsigned types. If you needed to, you wouldnt be able to because both std_logic_arith and numeric_std define the types, so you wouldnt be able to use either because of the conflict.
 

HTML:
Thank you for your concern.
I knew the problem and fixed it.
I wanted to add something that you information about combining arith & numeric in the same file is correct information and i've seen it in many resources, but my project works with this situation fine which made me astonished.
Thank you again :)
Hi.
I have the same error. how can you resolve it ,and why this is happened?
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top