Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Dump ".fsdb" in modelsim NT version?

Status
Not open for further replies.

cyc

Member level 1
Joined
May 22, 2001
Messages
38
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,286
Activity points
248
how to dump fsdb in modelsim

I am trying the modelsim55x NT version. The dump file in ".VCD" might be a large file. Does anyone know how to dump ".fsdb" in modelsim NT version?
 

fsdb dump modelsim

add "-pli novas.dll" to vsim
 

novas.dllmodelsim

You can find how to use pli function $fsdbdump in debussy help document. You can compile and link the dll file using the source files provided by debussy.
 

creating fsdb files in modelsim

You need to modify the modelsim.ini file
to add a line like this:

Veriuser = novas.dll

Also you need to set LD_LIBRARY_PATH to
point to the novas.dll file.
 

modelsim libpli.dll

Using the Visual C to compile the veriuser.c and modelsim PLI together to get the novas.dll
 

fsdb version

if you use debussy version is less than 5.2, you can copy debussy/share/pli/nc/libpli.dll to /verilog/tools/bin and copy pli.lib to /verilog/lib. new you can use fsdbDumpfile and fsdbDumpvars to dump fsdb data directly.
 

dump fsdb in modelsim

If you don't need to use additional PLI, you are supposed to be able
to use the included novas.dll to dump fsdb file. Look for the document
in your installation.
 

debussy fsdbdump

You may want to take a look at the link below


**broken link removed**



**broken link removed**


**broken link removed**



SAHO
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top