Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Do you think the nested FSM is a good design?

Status
Not open for further replies.

EDA_hg81

Advanced Member level 2
Joined
Nov 25, 2005
Messages
507
Helped
2
Reputation
4
Reaction score
2
Trophy points
1,298
Activity points
4,808
Do you think the nested FSM is a good design?

Thanks.
 

Well, that depends on the design. If you look at the PCI spec, you'd see that the arbitration scheme uses a nested state machine to service two levels of devices.
 

    EDA_hg81

    Points: 2
    Helpful Answer Positive Rating
it is really depends what you trying to achieve, if you are concerning performance ie (speed) then no, if you are concerning code readability then it will help, also if you have a lot's of stages and speed is not an issue I would recommended to use small MCU something like 8051, or PICO blaze or MICO from lattice, also MCU, can take of a lot's other thinks in your design, just try to minimize processor-processor communication (if there are more then one) because multriprocessors system it is totally different world...
Good lack
 

    EDA_hg81

    Points: 2
    Helpful Answer Positive Rating
Hi!

Don`t forget about illegal states of FSM.
In multy-FSM design this promlem more complex.

Good Luck
 

    EDA_hg81

    Points: 2
    Helpful Answer Positive Rating
Thank you all for your suggestions.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top