Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Divide by 1.5 counter

Status
Not open for further replies.
You can find example here:
**broken link removed**
 

kumar_eee said:
How to implemnt a Divide by 1.5 Counter with 50% duty cycle...

this document :



exactly addresses what you want, with some more details that can be helpful for clock division ..
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top