entity UT16 is
Port ( INA : in std_logic_vector(15 downto 0);
INB : in std_logic_vector(15 downto 0);
OUTPUT : out std_logic_vector(31 downto 0));
end UT16;
can anyone help me to display these output on LCD , SPARTAN 3E KIT or provide me the lcd code for these in VHDL....
it will depend upon the controller used within the LCD...
read kit user guide... and according to the controller timing generate the output
which you will give to the lcd.. your controller data line would be 4 0r 8 ... read out the kit user guide...