Display characters on Spartan 3E LCD with PS/2 in Verilog

Status
Not open for further replies.

codingStudent

Newbie level 1
Joined
Apr 20, 2012
Messages
1
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,287
Hi,

I am trying to type words onto the LCD screen of my Spartan 3E board using a PS/2 keyboard, but I am having trouble.

I have been able display the scan code values of the keyboard using the 8 leds on the spartan 3e, and i have also been able to program words in my code to be displayed on the LCD screen. However, I am unable to use the LCD screen and keyboard together.

Help would be greatly appreciated!
Thanks!
CS
 

Status
Not open for further replies.

Similar threads

Cookies are required to use this site. You must accept them to continue using the site. Learn more…