Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Disable OPT-1206 and OPT-1207 in Design Compiler

Status
Not open for further replies.

PityOnU

Newbie level 2
Joined
Apr 20, 2013
Messages
2
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,297
I am having issues pushing a rather large design of mine through Design Compiler. It keeps OPT-1206 and OPT-1207'ing away all of my registers ("------ is a constant and will be removed.")

I have tried the following commands:

set_dont_touch <entity name>
set compile_delete_unloaded_sequential_cells false
set hdlin_preserve_sequential true

But still the thing is wiping out a ton of my gates and making the design not work correctly in simulation. Does anyone know how I would disable all of these optimizations?
 

I think look for

1. Check if compile_delete_unloaded_sequential_cells variable value.
2. set_dont_touch is applied properly.

Let me know if this helps :)

Cheers
Sameer
 

I think look for

1. Check if compile_delete_unloaded_sequential_cells variable value.
2. set_dont_touch is applied properly.

Let me know if this helps :)

Cheers
Sameer

Hi Sameer,

Thanks for the pointers. After messing with set_dont_touch some more, I managed to get it to no longer remove any of my flip-flops.

However, the compiler is still removing some constants I have in my design - which is a problem because I set them as constants for a reason! I'm going to try to play with the compile_seqmap_propogate_constants switch some here, but if anyone knows off the top of their head a better way to do it, any and all help you could offer is much appreciated!

Thanks again!

~ Kent
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top