Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

[SOLVED] Differential Manchester::need to help to change my code

Status
Not open for further replies.

ABO_ATHAB

Junior Member level 1
Joined
Dec 19, 2011
Messages
16
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,403
hi
i try to build Differential Manchester(https://en.wikipedia.org/wiki/Differential_Manchester_encoding) by use vhdl
this is my code but is not synthesizable:-?
i need to be synthesizable
best regards

library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_logic_arith.all;


entity main is
Port (
clk,d : in STD_LOGIC;
dm : OUT std_logic );

end main;
architecture Behavioral of main is
signal temp,clkin:std_logic:='0';
begin

clkin<=not(clk);
process(clk)
begin
if(rising_edge(clk)) then

if(d='0') then
temp<=not(temp);
end if;

elsif(rising_edge(clkin)) then
temp<=not(temp);

end if;

end process;
dm<=temp;

end Behavioral;
 

You can't have more than one clock event in a process. You have two: 'clk' if the IF part and 'clkin' in the ELSIF part. I see what you want to do, but you are going to need a clock at twice the speed of your target data rate to create the data.

**

You might also look at this as an approach: http://www.ralf-hildebrandt.de/publication/pdf_dff/pde_dff.pdf
 
Last edited:
but you are going to need a clock at twice the speed of your target data rate to create the data



yes this is the solution
the fpga from xilnix only work on rising edge and differential manchester code change the output at rising and falling of clk so the solution is to make the clock speed twice than data rate

best regards::
m.s
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top