Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

difference in running cadence simulation

Status
Not open for further replies.

tariq786

Advanced Member level 2
Joined
Feb 24, 2004
Messages
562
Helped
67
Reputation
134
Reaction score
53
Trophy points
1,308
Location
USA
Activity points
3,048
I am wondering what is the difference between these 4 ways of running simulation with cadence

1. three step method
ncvlog *.v
ncelab top_module_name (-nc_options)
ncsim top_module_name (-nc_options)

2. one step method
ncverilog *.v (+nc_options)

3.ncsim by GUI.
nclaunch &


4. irun command


And which one is the preferred way. What are the pros and cons of each.

I come from synopsys background therefore i am having trouble understanding so many options.

Thanks a lot.
 

Main ways is two: three(multi)-step method and one-step method.
irun was introduced instead old command ncverilog - it is one-step method
nclaunch - GUI mode like other simulators, nclaunch lets choose single-step method or multi-step method and define necessary option.
multi-step method more flexible, however most of features is available in one-step method
 
Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top