Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

DFTC violations ! help me!

Status
Not open for further replies.

dongdong209

Newbie level 5
Joined
May 5, 2009
Messages
9
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,339
hi, everyone!
warning:cell s% is unknown(black box) because funcitonnality for output pin s% is bad or incomplete.
warning:cell s% is constant 0 value.
warning:design 's%' comes before design s% in the link library; s% will be ignored.
warning: port s% cannot be used as a scan port. it has been previously inferred as an asynchronous signal.
warning: design 'gvc' contains hign-fanout nets. a fanout number of 1000 will be used for delay calculations involving these nets.

these are the typical warnings. help me !
 

dongdong209 said:
hi, everyone!
warning:cell s% is unknown(black box) because funcitonnality for output pin s% is bad or incomplete.
warning:cell s% is constant 0 value.
warning:design 's%' comes before design s% in the link library; s% will be ignored.
warning: port s% cannot be used as a scan port. it has been previously inferred as an asynchronous signal.
warning: design 'gvc' contains hign-fanout nets. a fanout number of 1000 will be used for delay calculations involving these nets.

these are the typical warnings. help me !

please refer the user guide, there is detail description in chapter , the block box is your ram module?
 

u can try this
set_scan_configuration -exclude [get_cells YOURRAM]
 
you have lots of problem to know . user guide is best choice for you
 

if you have the bist design around the ram , you don't care the warning of the bbox(make sure all the black boxes are rams.)
 
Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top