Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

DFT at speed testing question

Status
Not open for further replies.

feel_on_on

Full Member level 5
Joined
Apr 29, 2005
Messages
283
Helped
6
Reputation
12
Reaction score
4
Trophy points
1,298
Activity points
3,208
1. There is a PLL in my design. several PLL generated clock control my design,but also a external clock in my design.

2. Now I'm preparing to do at-speed scan testing. I insert a clock controller between PLL and my design. then I add a MUX with external clock and one PLL generated clock . When scan_mode = 1, PLL generated clock work for At-Speed test.

May not clearly I speak ,But I believe someone have done At-Speed testing can understand what i said .
please give a good advice.
 

Designing an at-speed clock controller is pretty involved. However, there's no question in your statements. You might try dftforum.com - there's more DFT experts over there.
 

I am research at speed test now , i think we need PLL controller , to make sure all pll turn on during capture cycle . Pls correct me if i am wrong .
 

diag said:
I am research at speed test now , i think we need PLL controller , to make sure all pll turn on during capture cycle . Pls correct me if i am wrong .

you should initialize your PLL in test setup.
you might be generating the at speed patterns single clock at a time. As soon as your pll is locked, you can tap those two capture pulses from pll by using clock control logic.
 

you should design a logic which can chop the clock pulse,chop out launch clock and capture clock.(broadside transition delay test) , Hope it can help you:)
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top