Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

detecting odd number 8-bit number

Status
Not open for further replies.

julian403

Full Member level 5
Joined
Feb 28, 2014
Messages
254
Helped
1
Reputation
2
Reaction score
1
Trophy points
18
Location
Argentina
Activity points
2,105
Hello, for a 8 bit number I have to do a combinational circuit which detect if the number is odd.

I searched a pattern but there isn't. What ca I do? I can't do a karnaugh for 8 bit word
 

If the LSB is 1 then its an odd number.
 
Vbase is correct. Just monitor the LSB for high and low. On basis of that reading you can able to get the odd or even number.
 
What do you think i must to do? Put a yes gates or a buffer or just take the bit. For the impedance I meands
 

Simple, just the bit:

bit0 (LSb) ----> ODD signal

Can you elaborate on your design requirements? Are you implementing this design using a FPGA or CPLD?

Really no need for additional gates, unless you need a latch or a buffer to retain the signal state, of course technically at that point it no longer is classified as a combinational logic, but as sequential logic.

BigDog
 
Well, you really don't need a circuit element to do that in FPGAs. Just a wire connecting the LSB of the 8-bits number to the ODD Signal. But better help could be provided if you could throw some more light upon your requirements.

MSBR
 
Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top