design rule constraint in logic synthesis

Status
Not open for further replies.

chled

Newbie level 1
Joined
Jul 9, 2008
Messages
1
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,285
Hi, I' m getting a bit confused, in logic synthesis how do we fix the violated design rule constraint which are max capacitance, max transition and max fanout?
 

Status
Not open for further replies.

Similar threads

Cookies are required to use this site. You must accept them to continue using the site. Learn more…