ranaya
Advanced Member level 4
Hi All,
I have a following type of shift register composed of MUXs and Flip-Flops.
So basically the input MUX of every Flip-Flop chain feeds the data to the chain and the data can also be interchanged between two parallel chains using the same MUXs. I synthesized the design in Design Compiler using following constraints:
However the report_timing can only generate the timing reports for clock to Q delays of all FFs. i.e. all inputs to all outputs report_timing reports "no paths" !
How can I generate timing reports for these paths ? Or how can I set the constraints for the input to outputs of this type of design ?
Thanks
Anuradha
I have a following type of shift register composed of MUXs and Flip-Flops.
So basically the input MUX of every Flip-Flop chain feeds the data to the chain and the data can also be interchanged between two parallel chains using the same MUXs. I synthesized the design in Design Compiler using following constraints:
Code:
set OB_NAME "SHFT_REG"
set CLK "clk"
set clk_pr 10
elaborate ${OB_NAME}
if { ! [link] } {
puts "Error: Failed to link 'Design'."
exit 1
}
check_design
uniquify
create_clock ${CLK} -period ${clk_pr}
set_input_delay -max 0.3 -clock ${CLK} [remove_from_collection [all_inputs] [get_ports ${CLK}]]
set_output_delay -max 0.3 -clock ${CLK} [all_outputs]
set_load 0.010 [all_outputs]
set_clock_uncertainty 0.050 ${CLK}
set_propagated_clock ${CLK}
set_max_delay 100 -from din[0] -to yout[15]
However the report_timing can only generate the timing reports for clock to Q delays of all FFs. i.e. all inputs to all outputs report_timing reports "no paths" !
How can I generate timing reports for these paths ? Or how can I set the constraints for the input to outputs of this type of design ?
Thanks
Anuradha