Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Design and Implementation of 4x4 multiplier in vhdl

Status
Not open for further replies.

niten

Newbie level 3
Joined
Mar 24, 2010
Messages
3
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,299
4x4 multiplier in vhdl

Hi,
Does anyone know how to write a 4x4 multiplier in vhdl? I would like to implement the code on a Spartan 3E board. Any help would be appreciated. Thank you.
 

4x4 multiplier in vhdl

You can just use the built in VHDL multiply operator, *. The synthesizer can convert this to the appropriate multiplier.
 

Re: 4x4 multiplier in vhdl

I need a 4x4 multiplier code with start, reset, and clock. I also need help on assigning the input and output pins on a Spartan 3E board. The multiplier outputs 8 bits but I only see 4 leds on the Spartan Board. Any ideas?
 

Re: 4x4 multiplier in vhdl

Hello

1- No one is going to "draw" the code for you.

2- concerning the 4 leds problem, for any multiplier it is very common to just neglect half of the bits(or less) in the result register.
For example 1011)binary * 0010)binary which is 11x2 in decimal the exact result should be 00010110, now we can either throw the most 4-bits or the least 4-bits depending on your needs, i.e which part is more important for your computations.

3- To write a code for 4x4 multiplier, the easiset way is called "Add and Shift", google for it and you'll get your answer.

Best Wishes,
Sameh Yassin
 

Status
Not open for further replies.

Similar threads

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top