Definition of Generated clock in the following scenario ?

Status
Not open for further replies.

eda_rattle

Newbie
Joined
Jul 8, 2011
Messages
4
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,308
Hi,

Two definitions given for two stage generated clocks ? Which one seems to be a better definition & WHY ?

How does CTS tool build the clock tree DIFFERENTLY in two cases ?

Definition 1 :

create_clock -name SOURCE_CLK1 -period 1.0 [get_ports clk]
create_generated_clock -source [get_ports clk] -name GENCLK_1 -divide_by 2 [get_pins FF1/q]
create_generated_clock -source [get_pins FF1/q] -name GENCLK_2 -divide_by 2 [get_pins FF2/q]

Definition 2 :

create_clock -name SOURCE_CLK1 -period 1.0 [get_ports clk]
create_generated_clock -source [get_ports clk] -name GENCLK_1 -divide_by 2 [get_pins FF1/q]
create_generated_clock -source [get_ports clk] -name GENCLK_2 -divide_by 4 [get_pins FF2/q]

Regards,
eda_rattle
 

Def 1 is right. Just check out the create_gen_clock command on solvnet..
 

Check out what -source means from the same link. It says the driving element should be specified here.
 

Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…