Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Decoder Cadence ADE Simulation problem

Status
Not open for further replies.

rajrevanth61

Member level 3
Joined
Mar 6, 2014
Messages
65
Helped
0
Reputation
0
Reaction score
0
Trophy points
6
Activity points
429
Decoder Cadence ADE Simulation problem(I did not see this error never before))

Hello Experts,

I was designing a 2x4 decoder for my use in the implementation of FPGA CLB. when i try to simulate the decoder circuit using the cadence ADE i am getting errors as below.

WARNING (OSSHNL-117): Ignoring switch view 'schematic' of cell 'gnd' in library 'basic', as it does not contain
any instance. To netlist this cell, add this switch view to the stop list or to
ignore any specific instance set the property 'nlAction' to value "ignore" on
this cell view.

WARNING (OSSHNL-117): Ignoring switch view 'schematic' of cell 'vdd' in library 'basic', as it does not contain
any instance. To netlist this cell, add this switch view to the stop list or to
ignore any specific instance set the property 'nlAction' to value "ignore" on
this cell view.

WARNING (OSSHNL-160): The primitive, 'NCSU_Analog_Parts/vdc/spectre', was not used in the last netlisting session in this
current run directory. Therefore, re-netlisting all cell views where this
primitive is instantiated.

Netlist Warning: The netlisting procedure specified for (NCSU_Digital_Parts nfet spectre) is
obsolete. You can convert your library with the
Tools - Conversion Tool Box menu on the CIW.

*Error* ilGetString: arg must be symbol or string - progn(bn)
*Error* ilGetString: arg must be symbol or string - progn(bn)


I am attaching my schematic and the circuit(created by importing the symbol and adding the voltage sources) i used for the simulation.

Please help me. iam stuck

schematic1.png
schematic for testing using ADE.png
 

Attachments

  • schematic.png
    schematic.png
    6.5 KB · Views: 192
Last edited:

You want to either use the Hierarchy editor and assert
the proper / intended stop-view (probably "spectre") or
look at the views list that ADE has (one of the simulator
options pulldowns, I forget which). You'll see lists that
go like "schematic cmos.sch symbol spectre". Look at
what views actually exist under your basic/gnd symbol
and make sure its -appropriate- stop-view shows up in
the stop list, and that schematic does not (you can't
do anything useful, stopping at a schematic view and
failing to netlist anything lower). schematic needs to be
in the switch view list and absent from the stop view list.
Same goes for cmos.sch and cmos_sch.
 

Re: Decoder Cadence ADE Simulation problem(I did not see this error never before))

Hello Experts,

I was designing a 2x4 decoder for my use in the implementation of FPGA CLB. when i try to simulate the decoder circuit using the cadence ADE i am getting errors as below.

WARNING (OSSHNL-117): Ignoring switch view 'schematic' of cell 'gnd' in library 'basic', as it does not contain
any instance. To netlist this cell, add this switch view to the stop list or to
ignore any specific instance set the property 'nlAction' to value "ignore" on
this cell view.

WARNING (OSSHNL-117): Ignoring switch view 'schematic' of cell 'vdd' in library 'basic', as it does not contain
any instance. To netlist this cell, add this switch view to the stop list or to
ignore any specific instance set the property 'nlAction' to value "ignore" on
this cell view.

WARNING (OSSHNL-160): The primitive, 'NCSU_Analog_Parts/vdc/spectre', was not used in the last netlisting session in this
current run directory. Therefore, re-netlisting all cell views where this
primitive is instantiated.

Netlist Warning: The netlisting procedure specified for (NCSU_Digital_Parts nfet spectre) is
obsolete. You can convert your library with the
Tools - Conversion Tool Box menu on the CIW.

*Error* ilGetString: arg must be symbol or string - progn(bn)
*Error* ilGetString: arg must be symbol or string - progn(bn)


I am attaching my schematic and the circuit(created by importing the symbol and adding the voltage sources) i used for the simulation.

Please help me. iam stuck

View attachment 106985
View attachment 106984

Hi Raj
Have you been able to solve this issue?
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top