Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

D Flip-Flop with Negative-Edge Clock or Positive-Edge Clock

Status
Not open for further replies.

voho

Full Member level 2
Joined
Feb 24, 2004
Messages
121
Helped
2
Reputation
4
Reaction score
1
Trophy points
1,298
Location
Nador City
Activity points
852
Hi all,

i use ise foundation i want to use D Flip-Flop where you can chose with Negative-Edge Clock or Positive-Edge Clock.

i found FDC_1

Thank's in advance
 

Re: D Flip-Flop with Negative-Edge Clock or Positive-Edge Cl

Xilinx fpgas dont have different edge triggered FFs. If you say neg edge then it just adds an inverter before the pos edge FF.
 

Re: D Flip-Flop with Negative-Edge Clock or Positive-Edge Cl

I want to demonstrate that the inverter is not added externally, it is added internall, i.e. through a programmable mux with in the CLB, check out Xilinx FPGA for more details, the main issue here is that the LUT output will suffer very large skew and will affect your design badly.
 

Re: D Flip-Flop with Negative-Edge Clock or Positive-Edge Cl

The clock input of a flip-flop can be inverted or not, without passing via a LUT. For example, in Spartan-III device, at the input of a slice, the clock pass through a programable mux, which select between clk and ~clk (this isn't visible much within the datasheet, but if you look with FPGA editor, it is obvious). However, wether inverted or not, that clock then go to both flip-flop of that slice. So, both FF either use clk or ~clk. The map tool will take cere of this.
 

Re: D Flip-Flop with Negative-Edge Clock or Positive-Edge Cl

The Clcok is one, when u say -ve edge u have already done it in the code, specifying a negative edge triggering by keeping an inverter to the incoming clock.
 

hi,
according to coding style it will give you the flipflop type.
for example:
clk'event and clk='1'
=>pos edge ff
clk'event and clk='0'
=>neg edge ff

with regards,
kul
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top