Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Coverage Analysis tool in NC-sim

Status
Not open for further replies.

DeepIC

Member level 3
Joined
Oct 13, 2001
Messages
56
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,286
Activity points
475
ncsim code coverage

how to start Coverage Analysis tool in NC-sim? i can't find it .
 

ncsim coverage

You can find it in code coverage document.
I can't remember all.
something like : ncelab -coverage...
 

+nccoverage

You can find it in code coverage document.
I can't remember all.
something like : ncelab -coverage...
 

nccoverage

you can use 'ncverilog +nccoverage'.
only unix/linux platforms support this feature.
 

code coverage ncsim

thanks to all.

it seems that it can generate coverage,
but it has no GUI to analysys coverage
result in LDV4.0 for NT.
 

code coverage in ncsim

i think you'd like to read some document of codecover in LDV sw and you can find the user GUI GUIDE. (Solaris platform)
 

coverage ncsim

I think you should read the LDV documentation. It is vey clear. Just run "cdsdoc"
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top