Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Could real numbers in Verilog could be synthesized?

Status
Not open for further replies.

walkon

Junior Member level 1
Joined
Oct 21, 2004
Messages
18
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
165
If I want to write a Verilog module that include some computation with real numbers and fractional numbers such like 3.3*4.5 or 2.2/3.4

I know it will be okay with the simulation , but is it okay with the synthesis process?

And, the input/output port of Verilog HDL didn't support 'real' declaration, right?
the real computation must be converted into a n-bit reg or rounded to a integer to be export to another module, right?

Thanks.
 

real numbers are not synthesizeable. You'll have to use fixed point representation.
 

only fixed point number computation circuits can be synthesised.
 

In Hardware level, U can just implement the the fixed point computation.

the float point computation should implemted in
software level.
 

Hi,
Real numbers cannot be synthesized. You have to use fixed point multiplication which is in some standard format say 1.15 format.

It also depends upon how you are getting your input data. If that is in floating point with exponent and mantissa then you have to convert it to appropriate fixed point and do the operations.

Best Regards,
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top