Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Converting Matlab into HDL code

Status
Not open for further replies.

karper1986

Member level 2
Joined
Mar 13, 2009
Messages
49
Helped
1
Reputation
2
Reaction score
1
Trophy points
1,288
Activity points
1,715
how to convert a matlab into verilog

Hi friends,

I heard that in matlab there is some function that converts matlab code into VHDL or verilog, can anyone tells me exactly?

Best regards,
Karper
 

how to convert simulink to hdl coder

I have worked a lot on that

if you want to convert a matlab code into VHDL or verolig , you must write it in embedded matlab form , with its restrictions
you have to read a lot about embedded matlab before you start

hope that helps
 

    karper1986

    Points: 2
    Helpful Answer Positive Rating
conevrting matlab code to vhdl

Hi medra,

Thanks for quick reply, can you give a littlebit more information about that, or some links.

Best regards,
Karper.
 

how to convert matlab to hdl

If you want to go to embedded matlab you haven't a lot of resources , as the simulink HDL coder was released only 2007 , so most of your resources is the matlab help.
1-Read a lot in matlab help about embedded matlab
2- look in the demos files on how to write codes
3- try copying these demos and change a bit in the code
4- keep going on till you master the code
5- try writing your own codes with the same style

Note: if you are good in VHDL you will go on very fast , as embedded matlab codes are VHDL codes in matlab environment and style.
 

    karper1986

    Points: 2
    Helpful Answer Positive Rating
converting matlab to vhdl

Hello,

Thanks a lot for reply. It seems to be a good reason to translate matlab codes into VHDL, cause its more simply to model in matlab )) (in my opinion). Its true, no many litterature, but it wiil be useful to try, so interesting new abilities. One more time - THANKS.

Best regards,
Karper
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top