conversion software for verilog to VHDL (or) VHDL to verilog

Status
Not open for further replies.

renoz

Member level 3
Joined
Aug 27, 2011
Messages
54
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,286
Activity points
1,587
hi


Is there any software to convert the verilog to VHDL (or) VHDL to verilog?


thankz advance
 

Status
Not open for further replies.

Similar threads

Cookies are required to use this site. You must accept them to continue using the site. Learn more…