qwerty_asdf
Member level 4
I have use those imports:
This is my code:
and this is my error:
2) this one also get me an error.
Code:
where inp1.out_temp are std_logic_vectors. This is my error:
Am I not allowed to add 2 std_logic_vectors or is something else?
Code:
library IEEE;
use IEEE.std_logic_1164.all;
This is my code:
Code:
signal i:std_logic_vector(address_length-1 downto 0);
signal k: integer range 0 to 100:=0;
...
k<=to_integer(unsigned(i));
and this is my error:
Code:
com-1136) Unknown identifier "to_integer".
2) this one also get me an error.
Code:
Code:
out_temp<=inp1(0)+inp1(1);
Code:
No feasible entries for infix operator "+".
** Error: C:/Modeltech_pe_edu_10.1a/examples/landmark_1.vhd(49): Type error resolving infix expression "+" as type ieee.std_logic_1164.STD_LOGIC_VECTOR.