sam93
Junior Member level 1
hi, i face to a problem when compiling below code in ise.
would you help me?
error is : Line 17. conv_integer can not have such operands in this context.
would you help me?
error is : Line 17. conv_integer can not have such operands in this context.
Code VHDL - [expand] 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.std_logic_arith.all; entity dc1 is port ( in1 : in std_logic_vector(15 downto 0); in2 : in std_logic_vector(15 downto 0); output : out std_logic_vector(15 downto 0) ); end dc1; architecture Behavioral of dc1 is signal in1_int, in2_int, output_int: integer range 0 to 16; function CONV_INTEGER(ARG: SIGNED) return INTEGER; begin in1_int <= conv_integer(in1); in2_int <= conv_integer(in2); output_int <= in1_int/in2_int; output <= std_logic_vector(to_signed(output_int,output'length)); end Behavioral;
Last edited by a moderator: