Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Constraining a combo path (Synopsys DC)

Status
Not open for further replies.

dirac16

Member level 5
Joined
Jan 20, 2021
Messages
87
Helped
0
Reputation
0
Reaction score
0
Trophy points
6
Activity points
677
I want to use set_max_delay -from [from_list] -to [to_list] after synthesis to constrain a combinational path having a large delay. This command by itself doesn't do anything useful and in the dc shell it must be followed by other commands for the design to take effect. So if you want to see the effect what next commands do you run in dc shell?
 

right this command does nothing as it is just applying a constraint.
The constraint will be respected by tool mentionned by FvM (compile, synthesis...)
The constraint will be used as a threshold while using a "report_timing" for example.
 

I want to use set_max_delay -from [from_list] -to [to_list] after synthesis to constrain a combinational path having a large delay.
In the correct design flow procedure, you first complete the constraints file and then proceed to synthesis.
Of course improving the constraints file and running simulation in many designs can be an iterative process.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top