entity Question is
port(a, clock :in std_logic);
end entity Question;
architecture Solution of Question is
signal is_div_2 : std_logic;
signal u10_number : std_logic_vector(9 downto 0);
begin
is_div_2 <= not u10_number(0); -- number is divisible by two if lowest bit is not set
process(clock)
begin
if(rising_edge(clock)) then
for i in 0 to 8 loop
u10_number(i + 1) <= u10_number(i);
end loop;
u10_number(0) <= a;
end if;
end process;
end architecture Solution;