Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

compiling simprim library error in modelsim

Status
Not open for further replies.

shastri.vs

Member level 2
Joined
Dec 16, 2008
Messages
45
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,286
Activity points
1,600
Hi,
I am using Xilinx ISE as synthesis tool and Modelsim SE 5.7f for simulation.
When I do behavior simulation everything works fine. But when I do post route simulation I got errors.

# ** Error: (vcom-11) Could not find simprim.vcomponents.
# ** Error: ford_timesim.vhd(3Cool: (vcom-1195) Cannot find expanded name: 'simprim.vcomponents'.
# ** Error: ford_timesim.vhd(3Cool: Unknown record element "vcomponents".
# ** Error: (vcom-11) Could not find simprim.vpackage.
# ** Error: ford_timesim.vhd(39): (vcom-1195) Cannot find expanded name: 'simprim.vpackage'.
# ** Error: ford_timesim.vhd(39): Unknown record element "vpackage".
.
.
.
.
.
.

In some earlier querries posted by someone else I found a suggestion to compile and map simprim libraries to modelsim.
But when I tried to run
vcom -87 -work simprim $XILINX/vhdl/src/simprims/simprim_VITAL.vhd
command I got follwing errors :

# ** Error: C:/Xilinx/10.1/ISE/vhdl/src/simprims/simprim_VITAL.vhd(11386): near "function": expecting: ';'
# ** Error: C:/Xilinx/10.1/ISE/vhdl/src/simprims/simprim_VITAL.vhd(11526): near "function": expecting: ';'
# ** Error: C:/Xilinx/10.1/ISE/vhdl/src/simprims/simprim_VITAL.vhd(11682): near "function": expecting: ';'
# ** Error: C:/Xilinx/10.1/ISE/vhdl/src/simprims/simprim_VITAL.vhd(11694): near "function": expecting: ';'
# ** Error: C:/Xilinx/10.1/ISE/vhdl/src/simprims/simprim_VITAL.vhd(11889): near "function": expecting: ';'
# ** Error: C:/Xilinx/10.1/ISE/vhdl/src/simprims/simprim_VITAL.vhd(11902): near "function": expecting: ';'
# ** Error: C:/Xilinx/10.1/ISE/vhdl/src/simprims/simprim_VITAL.vhd(167252): near "sll": expecting: ')'
# ** Error: C:/Xilinx/10.1/ISE/vhdl/src/simprims/simprim_VITAL.vhd(167255): near "sll": expecting: ')'
# ** Error: C:/Xilinx/10.1/ISE/vhdl/src/simprims/simprim_VITAL.vhd(167269): near "sll": expecting: ')'
# ** Error: C:/Xilinx/10.1/ISE/vhdl/src/simprims/simprim_VITAL.vhd(167272): near "sll": expecting: ')'
# ** Error: C:/Xilinx/10.1/ISE/vhdl/src/simprims/simprim_VITAL.vhd(167286): near "sll": expecting: ')'
# ** Error: C:/Xilinx/10.1/ISE/vhdl/src/simprims/simprim_VITAL.vhd(167289): near "sll": expecting: ')'
# ** Error: C:/Xilinx/10.1/ISE/vhdl/src/simprims/simprim_VITAL.vhd(167303): near "sll": expecting: ')'
# ** Error: C:/Xilinx/10.1/ISE/vhdl/src/simprims/simprim_VITAL.vhd(167306): near "sll": expecting: ')'
# ** Error: C:/Xilinx/10.1/ISE/vhdl/src/simprims/simprim_VITAL.vhd(169722): near "sll": expecting: ')'
# ** Error: C:/Xilinx/10.1/ISE/vhdl/src/simprims/simprim_VITAL.vhd(169725): near "sll": expecting: ')'
# ** Error: C:/Xilinx/10.1/ISE/vhdl/src/simprims/simprim_VITAL.vhd(169739): near "sll": expecting: ')'
# ** Error: C:/Xilinx/10.1/ISE/vhdl/src/simprims/simprim_VITAL.vhd(169742): near "sll": expecting: ')'
# ** Error: C:/Xilinx/10.1/ISE/vhdl/src/simprims/simprim_VITAL.vhd(169756): near "sll": expecting: ')'
# ** Error: C:/Xilinx/10.1/ISE/vhdl/src/simprims/simprim_VITAL.vhd(169759): near "sll": expecting: ')'
# ** Error: C:/Xilinx/10.1/ISE/vhdl/src/simprims/simprim_VITAL.vhd(169773): near "sll": expecting: ')'
# ** Error: C:/Xilinx/10.1/ISE/vhdl/src/simprims/simprim_VITAL.vhd(169776): near "sll": expecting: ')'
# ** Error: C:/Xilinx/10.1/ISE/vhdl/src/simprims/simprim_VITAL.vhd(169789): near "sll": expecting: ')'
# ** Error: C:/Xilinx/10.1/ISE/vhdl/src/simprims/simprim_VITAL.vhd(169792): near "sll": expecting: ')'
# ** Error: C:/Xilinx/10.1/ISE/vhdl/src/simprims/simprim_VITAL.vhd(169806): near "sll": expecting: ')'
# ** Error: C:/Xilinx/10.1/ISE/vhdl/src/simprims/simprim_VITAL.vhd(169809): near "sll": expecting: ')'
# ** Error: C:/Xilinx/10.1/ISE/vhdl/src/simprims/simprim_VITAL.vhd(169823): near "sll": expecting: ')'
# ** Error: C:/Xilinx/10.1/ISE/vhdl/src/simprims/simprim_VITAL.vhd(169826): near "sll": expecting: ')'
# ** Error: C:/Xilinx/10.1/ISE/vhdl/src/simprims/simprim_VITAL.vhd(169839): near "sll": expecting: ')'
# ** Error: C:/Xilinx/10.1/ISE/vhdl/src/simprims/simprim_VITAL.vhd(169842): near "sll": expecting: ')'
# ** Error: C:/Xilinx/10.1/ISE/vhdl/src/simprims/simprim_VITAL.vhd(174903): near "xnor": expecting: ';'
# ** Error: C:/Xilinx/10.1/ISE/vhdl/src/simprims/simprim_VITAL.vhd(174912): near "xnor": expecting: ';'
# ** Error: C:/Xilinx/10.1/ISE/vhdl/src/simprims/simprim_VITAL.vhd(175301): near "xnor": expecting: ';'
# ** Error: C:/Xilinx/10.1/ISE/vhdl/src/simprims/simprim_VITAL.vhd(175557): near "sla": expecting: ')'
# ** Error: C:/Xilinx/10.1/ISE/vhdl/src/simprims/simprim_VITAL.vhd(175560): near "sla": expecting: ')'
# ** Error: C:/Xilinx/10.1/ISE/vhdl/src/simprims/simprim_VITAL.vhd(222927): near "function": expecting: ';'
# ** Error: C:/Xilinx/10.1/ISE/vhdl/src/simprims/simprim_VITAL.vhd(222939): near "function": expecting: ';'
# ** Error: C:/Xilinx/10.1/ISE/vhdl/src/simprims/simprim_VITAL.vhd(223445): near "procedure": expecting: ';'
# ** Error: C:/Xilinx/10.1/ISE/vhdl/src/simprims/simprim_VITAL.vhd(223512): near "procedure": expecting: ';'
# ** Error: C:/Xilinx/10.1/ISE/vhdl/src/simprims/simprim_VITAL.vhd(223539): near "procedure": expecting: ';'
# ** Error: C:/Xilinx/10.1/ISE/vhdl/src/simprims/simprim_VITAL.vhd(223554): near "procedure": expecting: ';'
# ** Error: C:/Xilinx/10.1/ISE/vhdl/src/simprims/simprim_VITAL.vhd(223588): near "procedure": expecting: ';'
# ** Error: C:/Xilinx/10.1/ISE/vhdl/src/simprims/simprim_VITAL.vhd(223673): near "function": expecting: ';'
# C:/Modeltech_5.7f/win32/vcom failed.



I dont know how to fix this ...
 

Hi,

The simprim library seems to be VHDL 93 instead of VHDL 87. Try vcom -93 -work simprim $XILINX/vhdl/src/simprims/simprim_VITAL.vhd

Devas
 

That helped somewhat, but I got few new errors with that command :

# ** Error: C:/Xilinx/10.1/ISE/vhdl/src/simprims/simprim_VITAL.vhd(12280): VITAL TISD timing generic must be a scalar form of VITAL
# delay type
# (1076.4 section 4.3.2.1.3.13)
# ** Error: C:/Xilinx/10.1/ISE/vhdl/src/simprims/simprim_VITAL.vhd(12281): VITAL TISD timing generic must be a scalar form of VITAL
# delay type
# (1076.4 section 4.3.2.1.3.13)
# ** Error: C:/Xilinx/10.1/ISE/vhdl/src/simprims/simprim_VITAL.vhd(12302): VHDL Compiler exiting
# C:/Modeltech_5.7f/win32/vcom failed.
 

Hi,

You can try to compile the simprim_VITAL_mti.vhd file.

If that does not solve the error, your Modelsim version is maybe too old and does not support this Vital construction. Maybe you can use the Modelsim-XE version from the Xilinx web-site. This is a free version with (of course) some limitations.

Devas
 

The problems still there ..
I installed Modelsim SE v6.4 and ran the commands, but still I got the erros.
As per your suggestion, when I compiled simprim_VITAL_mti.vhd I got bellow error

# ** Error: C:/Xilinx/10.1/ISE/vhdl/src/simprims/simprim_VITAL_mti.vhd(9213): No feasible entries for subprogram "vitalstatetable".
# ** Error: C:/Xilinx/10.1/ISE/vhdl/src/simprims/simprim_VITAL_mti.vhd(9241): VHDL Compiler exiting
# C:/modeltech_6.4/win32/vcom failed.
 

My problem has been solved. Instead of compiling libs in modelsim I let ISE itself to do the compilation. Post place and route simulations are working absolutely fine now.

Anyways, thank Devas for your valuable inputs.
 

New in using Xilinx and wondering, when you compiled simprim through xilinx did u get any errors or warnings.. I get some yet some simulations still work and am wondering if this is normal??
 

Status
Not open for further replies.

Similar threads

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top