Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Compile and Elaborate?

Status
Not open for further replies.

davyzhu

Advanced Member level 1
Joined
May 23, 2004
Messages
494
Helped
5
Reputation
10
Reaction score
2
Trophy points
1,298
Location
oriental
Activity points
4,436
Hi all,

I am new to Candence tools. What's the difference with compile and elaborate?
And where can I download the manual of the Candence tools?

Best regards,
Davy
 

Hi davyzhu,

After you installed the tool, you will find a doc directory under the tool dir, at there

you can find the tool manual. After you set the enviorment properly, you can use the

command "cdsdoc" to view them, or you can go to the subdir direcly open it.
 

    davyzhu

    Points: 2
    Helpful Answer Positive Rating
ncsim - compile verilog to a lib, like c complie to obj
ncelab - elaborate lib with other (may be pli or other ), like c link
ncsim - run the linked lib
 

    davyzhu

    Points: 2
    Helpful Answer Positive Rating
Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top