Comparison of VHDL, Verilog, and System verilog

Status
Not open for further replies.
Distinct comprasion. Thanks.

Q: Do you know about using AHDL in non-Altera projects? In comparison with VHDL/Verilog it's more usefull for syntesable work.
 

AHDL can't use in non altera project, because it use specific altera primitives and orient on altera tools. As you know, no third companies tools support AHDL.
 

Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…