Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Command for dumping every signal and variable in VCS

Status
Not open for further replies.

khaledismail

Newbie
Joined
Sep 14, 2019
Messages
1
Helped
0
Reputation
0
Reaction score
0
Trophy points
1
Activity points
20
Hi all,

When tracing the drivers for signals in a DVE waveform, I notice the below message in "Tooltip Viewer":
"Possibly active driver (analysis was incomplete due to missing dump, dynamic variables or internal limitations)"

I notice that I also can't show some wires, regs and other SystemVerilog variables on the DVE waveform.
What command should I use in VCS to dump all possible wire, reg and variable so I can plot them all in DVE?

Thanks.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top