tooh83
Newbie level 6

- Joined
- Feb 1, 2006
- Messages
- 13
- Helped
- 0
- Reputation
- 0
- Reaction score
- 0
- Trophy points
- 1,281
- Location
- Cairo , Egypt
- Activity points
- 1,422
hi all
i m using EP1C3 FPGA, -8 speed grade , i want to assign its internal clock
as the input clk to a 4-bit counter whose VHDL code is as shown
entity counter is
port (
enable,clk : in std_logic;
count : out std_logic_vector(3 downto 0)
);
end counter;
architecture behave of counter is
signal inc : std_logic_vector(3 downto 0);
begin
process(clk,enable)
begin
if enable = '1' then
if (clk'event and clk='1') then
inc <= inc + 1;
count <= inc ;
end if;
end if;
end process;
end behave;
how can i do this ? thnx in advance
i m using EP1C3 FPGA, -8 speed grade , i want to assign its internal clock
as the input clk to a 4-bit counter whose VHDL code is as shown
entity counter is
port (
enable,clk : in std_logic;
count : out std_logic_vector(3 downto 0)
);
end counter;
architecture behave of counter is
signal inc : std_logic_vector(3 downto 0);
begin
process(clk,enable)
begin
if enable = '1' then
if (clk'event and clk='1') then
inc <= inc + 1;
count <= inc ;
end if;
end if;
end process;
end behave;
how can i do this ? thnx in advance