Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

cmd & tcl for soc encounter

Status
Not open for further replies.

jitendravlsi

Full Member level 2
Joined
Jul 21, 2008
Messages
132
Helped
8
Reputation
16
Reaction score
5
Trophy points
1,298
Activity points
2,136
Dear All,

Can anybody give me the *.cmd file or log file (soc encounter) for the full flow(from data preparation to gds2) or for the individual part of the flow(datapreparation, floorplan, powerplan, placement, CTS, routing, optimization, etc) ?

Please give me the tcl scripts also for the individaul stages of the flow(Physical Design).
 

Hi Jitendravlsi,

In the Soc-Encounter installed path, in the doc section you will find foundation flow, which contains all the scripts which you have asked.

Regards,
 

    jitendravlsi

    Points: 2
    Helpful Answer Positive Rating
hello arjun
can i know how much extent to know Tcl scripts of foundation flow is needed.I mean to say yhat every thing in that script should learn before begging the tool.
what is the useful of that tcl scripts
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top