Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Clock Divider - any info needed

Status
Not open for further replies.

RTL2GDSII

Member level 1
Joined
Apr 20, 2002
Messages
35
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,286
Activity points
170
Clock Divider

Any info about how to generate a non-integer clock divider.
Like Clk_out = Clk_in x (N/M), N and M are integer.
Thanks !
 

Use a PLL to multiply your frequency (N), then use a logic divider to divide the multiplied frecuency (M).




Fin--> Phase Comp ---> Filter ---> VCO +--> Divider M ----> Fout=FxN/M
.................^........................................|
..................|<---------Divider N----------+
 

Any digital PLL information ?
 

What is your base frequency?, so what is your final frequency?

If you have a highter clock than base frequency (about 10 times base frequency or more), using a CPLD you can improve a digital PLL to multiply the base frequency.
 

Clock Divider

Hi,

See if this article is of use to you. It talks
about Unsual Clock Division Requirements


DrBELL
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top