Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Chipscope ICON function?

Status
Not open for further replies.

alzomor

Advanced Member level 2
Joined
Jun 9, 2005
Messages
674
Helped
39
Reputation
78
Reaction score
8
Trophy points
1,298
Location
Germany
Activity points
6,406
chipscope icon

Hi

I am a new comer to Xilinx Chipscope and have some questions
In chipscope user guide I found this phrase

"The ICON core provides a communications path between the JTAG Boundary Scan port of the target FPGA and up to 15 ILA"

*Dose that mean that I should put ICON with any other core?
*so If I am using only one ILA , is it required to put both ICON & ILA?

IS a single ILA is enough for probing my whole design ?

Salam
Hossam Alzomor
w ww.i-g.org
 

chipscope pro inserter getting started

hi..

*Dose that mean that I should put ICON with any other core?
To use ChipScope modules(ILA, VIO) in your design, you must always generate and instantiate an ICON controller module. ICON controller module communicates with the host PC and sends commands to other ChipScope modules via a control port. Your ICON controller module must be generated with the same number of control ports as there are other ChipScope modules in your design.
For example, if you want to add an ILA module and a VIO module to your design, generate an ICON module with two control ports.

//---------------------------------------------------------------
// ICON core module declaration (from icon_xst_example.v)
//---------------------------------------------------------------
module icon (control0, control1);
output [35:0] control0;
output [35:0] control1;
endmodule
//---------------------------------------------------------------
// VIO core module declaration (from vio_xst_example.v)
//---------------------------------------------------------------
module vio (control, clk, sync_in, sync_out);
input [35:0] control;
input clk;
input [31:0] sync_in;
output [31:0] sync_out;
endmodule
//---------------------------------------------------------------
// ILA core module declaration (from ila_xst_example.v)
//---------------------------------------------------------------
module ila (control, clk, trig0);
input [35:0] control;
input clk;
input [31:0] trig0;
endmodule

*so If I am using only one ILA , is it required to put both ICON & ILA?
Yes, required to put both ICON and ILA.

IS a single ILA is enough for probing my whole design ?
I believe one ILA core can tap 256 signals. So it depends on the how many signals you want to monitor. And the number samples and number of signals also depends on how many spare BRAM your FPGA has.
You can also try agilent ATC2 core but need Agilent Scope.
 

    alzomor

    Points: 2
    Helpful Answer Positive Rating
chipscope

thanks

Is it possible to use the IBA cores with AMBA bus or it's specigic for PLB and OLB?

Salam
Hossam Alzomor
www .i-g.org
 

chipscope inserter vio

Is it possible to use the IBA cores with AMBA bus or it's specigic for PLB and OLB?

Yes, you can use for any kind of bus and signals PCI, PCIX , RGMII, GMII, SPI ...
[b]Basically you can tap any register in the design ...[/b]
 

chipscope reading bus

Hi

I am getting started with ChipScope pro. I have been trying to do a very simple example using icon, ila and vio cores .I am using ChipScope Pro 8.2 and ISE 8.2.

I have spent almost a week just looking on the Internet, trying what I have found, reading and have seen the DemoOnDeman on the Xilinx web site but it seems that what I really need to know is not exaplianed in details over there.

It might sound very simple but it seems. But when we start something new it always looks complicated. The only help I can find is through the Internet. It's way easyer to have few examples as a begining and then start to test my own designs.

Do you have an example writen in VHDL so I can see how you integrate the VHDL codes generated by " core generator " and where to place them in the top-level.

I really don't know what I have done with the example I am trying to use to makes it work with ChipScope. Any simple example in VHDL would really be helpfull. I wish someone can give me advice about that or even direction


thank you
 

using chipscope vhdl

Hi,

1st you can use core inserter instead of core generator.
Core inserter will insert the chipscope ICON and ILA core automatically without the need of writing any VHDL code. , just open Chipscope inserter from your ISE and follow the wizard

2nd there's an option if you are using core generator to generate a code skeleton which demonstrates How to instantiate the ICON and ILA cores within your code, you can use it as a guide.

3rd you Just connect the signals you need to monitor to your ILA and connect control bus from ILA to ICON , that's all

4th Sorry , for not sending file as I am working with verilog

Salam
Hossam Alzomor
www(.)i-g(.)org
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top